ASML Holding N.V. (ASML) — 915.03 (-2.21)

NL NASDAQ — Technology Sector
Peers: AMAT  KLAC  ACLS  TER  LRCX  AEHR  PLAB  KLIC  ENTG  IPGP 

Key Metrics & Ratings

  • symbol ASML
  • Rev/Share 67.9601
  • Book/Share 30.4719
  • PB 23.5137
  • Debt/Equity 0.377
  • CurrentRatio 1.3278
  • ROIC 0.4463

 

  • MktCap 282726437630.588
  • FreeCF/Share 14.1544
  • PFCF 50.7433
  • PE 37.6045
  • Debt/Assets 0.1206
  • DivYield 0.0091
  • ROE 0.687

 

  • Rating S-
  • Score 5.0
  • Recommendation Strong Buy
  • P/E Score 5.0
  • DCF Score 5.0
  • P/B Score 5.0
  • D/E Score 5.0

Recent Analyst Ratings

Type Ticker Analyst Firm Previous Rating Current Rating Previous Price Target Current Price Target Date
Initiation ASML Evercore ISI -- Outperform -- -- April 16, 2024
Initiation ASML HSBC Securities -- Buy -- -- Feb. 22, 2024
Upgrade ASML Redburn Atlantic Sell Neutral -- -- Feb. 20, 2024
Initiation ASML Cantor Fitzgerald -- Overweight -- -- Jan. 23, 2024
Upgrade ASML Bernstein Market Perform Outperform -- -- Jan. 22, 2024
Upgrade ASML Kepler Hold Buy -- -- Jan. 8, 2024

News

Trade Tracker: Steve Weiss buys ASML Holdings and more Netflix
ASML, NFLX
Published: May 10, 2024 by: CNBC Television
Sentiment: Positive

Steve Weiss, founder and ,anaging partner at Short Hills Capital Partners joins CNBC's “Halftime Report” to detail his latest buys in ASML and Netflix.

Read More
image for news Trade Tracker: Steve Weiss buys ASML Holdings and more Netflix
SHAREHOLDER ALERT: Pomerantz Law Firm Investigates Claims on Behalf of Investors of ASML Holding N.V. - ASML
ASML
Published: May 06, 2024 by: PRNewsWire
Sentiment: Neutral

NEW YORK , May 6, 2024 /PRNewswire/ -- Pomerantz LLP is investigating claims on behalf of investors of ASML Holding N.V. ("ASML" or the "Company") (NASDAQ: ASML).

Read More
image for news SHAREHOLDER ALERT: Pomerantz Law Firm Investigates Claims on Behalf of Investors of ASML Holding N.V. - ASML
Is ASML Stock a Buy Now?
ASML
Published: May 02, 2024 by: The Motley Fool
Sentiment: Positive

Its bookings were not as strong as expected in the latest quarter, but its backlog remains solid. A recovery in semiconductor equipment spending and the construction of new fabs should help.

Read More
image for news Is ASML Stock a Buy Now?
ASML reports transactions under its current share buyback program
ASML
Published: April 15, 2024 by: GlobeNewsWire
Sentiment: Neutral

ASML reports transactions under its current share buyback program VELDHOVEN, the Netherlands – ASML Holding N.V. (ASML) reports the following transactions, conducted under ASML's current share buyback program.

Read More
image for news ASML reports transactions under its current share buyback program
Trade Tracker: Honeywell, Freeport-McMoran, Leidos Holdings, Cisco, McDonald's, Netflix & ASML
ASML, CSCO, FCX, HON, LDOS, MCD, NFLX
Published: April 12, 2024 by: CNBC Television
Sentiment: Neutral

The Committee discusses the latest moves in their portfolios.

Read More
image for news Trade Tracker: Honeywell, Freeport-McMoran, Leidos Holdings, Cisco, McDonald's, Netflix & ASML
These 2 Computer and Technology Stocks Could Beat Earnings: Why They Should Be on Your Radar
ASML, TSM
Published: April 11, 2024 by: Zacks Investment Research
Sentiment: Positive

Why investors should use the Zacks Earnings ESP tool to help find stocks that are poised to top quarterly earnings estimates.

Read More
image for news These 2 Computer and Technology Stocks Could Beat Earnings: Why They Should Be on Your Radar
3 Breakout Growth Stocks You Can Buy and Hold for the Next Decade
AMD, ASML, PINS
Published: April 11, 2024 by: The Motley Fool
Sentiment: Positive

AMD's new data center GPUs could shake up the AI market. ASML has monopolized a crucial chipmaking technology.

Read More
image for news 3 Breakout Growth Stocks You Can Buy and Hold for the Next Decade
Chip makers are in an arms race and ASML is the arms dealer, hedge fund manager says
ASML
Published: April 10, 2024 by: Market Watch
Sentiment: Positive

The world's top chip makers are currently engaged in an “arms race” and ASML, which makes the machines used to manufacture microchips, is the company best placed to profit, a hedge fund director has said in a pitch that saw him win a top investments idea contest last week.

Read More
image for news Chip makers are in an arms race and ASML is the arms dealer, hedge fund manager says
Meet Europe's Mag 18. Novo Nordisk Stock, ASML, and Others Can Rival the Mag Seven.
ASML, AZN, CHDRF, CHDRY, DEO, HESAY, HSBC, LRLCY, LVMHF, LVMUY, NSRGF, NSRGY, NVO, NVS, PROSY, RHHBY, SAP, SIEGY, SNY, TTE, UL
Published: April 09, 2024 by: Barrons
Sentiment: Positive

The Mag Seven stocks have powered gains in the U.S. market. Now, the hunt is on for an equivalent group of outperformers elsewhere.

Read More
image for news Meet Europe's Mag 18. Novo Nordisk Stock, ASML, and Others Can Rival the Mag Seven.
7 Mega-Cap Stocks Poised to Double Your Money in 3 Years
ADBE, AMD, ASML, BABA, NVDA, TM, TSLA
Published: April 09, 2024 by: InvestorPlace
Sentiment: Positive

Mega-cap stocks are solid investments overall for several reasons. Recently, they've been responsible for the lion's share of increases in the S&P 500.

Read More
image for news 7 Mega-Cap Stocks Poised to Double Your Money in 3 Years
Better Chip Stock: ASML vs. Lam Research
ASML, LRCX
Published: April 09, 2024 by: The Motley Fool
Sentiment: Positive

ASML is the world's only supplier of top-tier lithography systems. Lam Research is a top producer of wafer fabrication equipment.

Read More
image for news Better Chip Stock: ASML vs. Lam Research
Is ASML Stock Going to $1,150? 1 Wall Street Analyst Thinks So.
ASML
Published: April 04, 2024 by: The Motley Fool
Sentiment: Positive

ASML holds a virtual monopoly on the sale of extreme ultraviolet (EUV) lithography machines. These EUV systems are required to make top-of-the-line semiconductors used in cloud and AI applications.

Read More
image for news Is ASML Stock Going to $1,150? 1 Wall Street Analyst Thinks So.
ASML, computer chip firms testify to parliament on tax, policy needs
ASML
Published: April 03, 2024 by: Reuters
Sentiment: Positive

A group of eight companies led by Europe's largest tech firm ASML on Wednesday asked the Dutch parliament to back policies that will boost the country's chip industry, including retaining tax breaks on investment and skilled labour.

Read More
image for news ASML, computer chip firms testify to parliament on tax, policy needs
AI stocks to watch right now
AMZN, ASML, INTC, MSFT, MU, NVDA, ORCL, SNOW
Published: April 02, 2024 by: Finbold
Sentiment: Positive

Artificial intelligence (AI) has been the name of the game throughout 2023 and the boom persisted into the first quarter of 2024 with certain involved companies – Super Micro Computer (SMCI) – making stunning, 100%+ gains in mere months.

Read More
image for news AI stocks to watch right now
Unbeatable Blue Chips: 7 Must-Own Stocks for Every Portfolio
AMZN, ASML, MSFT, PFE, V, WMT, XOM
Published: April 01, 2024 by: InvestorPlace
Sentiment: Positive

Blue Chip stocks are among the most dependable investments available. For that reason many investors believe them to be an unbeatable investment overall.

Read More
image for news Unbeatable Blue Chips: 7 Must-Own Stocks for Every Portfolio
ASML reports transactions under its current share buyback program
ASML
Published: March 29, 2024 by: GlobeNewsWire
Sentiment: Neutral

ASML reports transactions under its current share buyback program VELDHOVEN, the Netherlands – ASML Holding N.V. (ASML) reports the following transactions, conducted under ASML's current share buyback program.

Read More
image for news ASML reports transactions under its current share buyback program
'Operation Beethoven': Dutch 2.5bn-euro charm offensive to keep ASML
ASML
Published: March 28, 2024 by: TechXplore
Sentiment: Positive

The Dutch government on Thursday unveiled a plan worth 2.5 billion euros to retain global firms like chip giant ASML amid fears of a far-right clampdown on immigration.

Read More
image for news 'Operation Beethoven': Dutch 2.5bn-euro charm offensive to keep ASML
ASML (ASML) Ascends But Remains Behind Market: Some Facts to Note
ASML
Published: March 27, 2024 by: Zacks Investment Research
Sentiment: Neutral

ASML (ASML) closed the most recent trading day at $974.01, moving +0.28% from the previous trading session.

Read More
image for news ASML (ASML) Ascends But Remains Behind Market: Some Facts to Note
Why Investors Need to Take Advantage of These 2 Computer and Technology Stocks Now
ASML, PANW
Published: March 26, 2024 by: Zacks Investment Research
Sentiment: Positive

Investors looking for ways to find stocks that are set to beat quarterly earnings estimates should check out the Zacks Earnings ESP.

Read More
image for news Why Investors Need to Take Advantage of These 2 Computer and Technology Stocks Now
Final Trades: Steel Dynamics, Sabra Health Care, ASML Holding and Deere
ASML, DE, STLD, SBRA
Published: March 25, 2024 by: CNBC Television
Sentiment: Positive

The Investment Committee give you their top stocks to watch for the second half.

Read More
image for news Final Trades: Steel Dynamics, Sabra Health Care, ASML Holding and Deere
ASML reports transactions under its current share buyback program
ASML
Published: March 25, 2024 by: GlobeNewsWire
Sentiment: Neutral

ASML reports transactions under its current share buyback program VELDHOVEN, the Netherlands – ASML Holding N.V. (ASML) reports the following transactions, conducted under ASML's current share buyback program.

Read More
image for news ASML reports transactions under its current share buyback program
ASML (ASML) Rises Higher Than Market: Key Facts
ASML
Published: March 20, 2024 by: Zacks Investment Research
Sentiment: Neutral

ASML (ASML) closed at $970.92 in the latest trading session, marking a +2% move from the prior day.

Read More
image for news ASML (ASML) Rises Higher Than Market: Key Facts
Beyond the Biggies: 3 Alternative Chip Stocks Gaining Traction
ASML, GFS, SWKS
Published: March 18, 2024 by: InvestorPlace
Sentiment: Positive

There are some alternative chip stocks available beyond the marquee names of Nvidia (NASDAQ: NVDA ), Intel (NADAQ: INTC ) and the like. The reason I'm bullish on these companies is because they have one thing in common: lower valuations than the mainstream stocks we're all used to talking about.

Read More
image for news Beyond the Biggies: 3 Alternative Chip Stocks Gaining Traction
AI stock alert: This artificial intelligence pioneer is reshaping industries
AMAT, ASML, INTC, LRCX, TSM
Published: March 18, 2024 by: Finbold
Sentiment: Positive

Investing in artificial intelligence (AI) stocks has become a hot trend lately. The potential for substantial gains in this sector has caught the attention of both investors and consumers alike.

Read More
image for news AI stock alert: This artificial intelligence pioneer is reshaping industries
7 Mega-Cap Stocks That Can Turn $10,000 Into $1 Million
AMD, AMZN, ASML, AZN, NVDA, NVO, TM
Published: March 15, 2024 by: InvestorPlace
Sentiment: Positive

By definition, mega-cap stocks are those which possess a market capitalization above $200 billion. So, investors seeking to turn $10,000 into $1 million through Mega cap companies will be choosing from the top 60 companies on this list.

Read More
image for news 7 Mega-Cap Stocks That Can Turn $10,000 Into $1 Million
ASML (ASML) Declines More Than Market: Some Information for Investors
ASML
Published: March 14, 2024 by: Zacks Investment Research
Sentiment: Neutral

ASML (ASML) closed the most recent trading day at $959.78, moving -0.93% from the previous trading session.

Read More
image for news ASML (ASML) Declines More Than Market: Some Information for Investors
Great News for ASML Stock Investors!
ASML
Published: March 14, 2024 by: The Motley Fool
Sentiment: Positive

ASML is exercising its pricing power to boost profit margins.

Read More
image for news Great News for ASML Stock Investors!
What's Going on With ASML Stock?
ASML
Published: March 14, 2024 by: The Motley Fool
Sentiment: Positive

ASML is the supplier to some of the biggest semiconductor companies in the world.

Read More
image for news What's Going on With ASML Stock?
Is Trending Stock ASML Holding N.V. (ASML) a Buy Now?
ASML
Published: March 12, 2024 by: Zacks Investment Research
Sentiment: Positive

ASML (ASML) has received quite a bit of attention from Zacks.com users lately. Therefore, it is wise to be aware of the facts that can impact the stock's prospects.

Read More
image for news Is Trending Stock ASML Holding N.V. (ASML) a Buy Now?
ASML's threat to leave uncovers deeper concerns in Netherlands Inc.
ASML
Published: March 12, 2024 by: Reuters
Sentiment: Negative

A threat by the Netherlands' largest company ASML to quit the country if it cannot grow there has laid bare wider corporate concerns that the nation's business climate is deteriorating.

Read More
image for news ASML's threat to leave uncovers deeper concerns in Netherlands Inc.

About ASML Holding N.V. (ASML)

  • IPO Date 1995-03-15
  • Website https://www.asml.com
  • Industry Semiconductors
  • CEO Mr. Christophe D. Fouquet
  • Employees 40940

ASML Holding N.V. develops, produces, markets, sells, and services advanced semiconductor equipment systems consisting of lithography, metrology, and inspection related systems for memory and logic chipmakers. The company provides extreme ultraviolet lithography systems; and deep ultraviolet lithography systems comprising immersion and dry lithography solutions to manufacture various range of semiconductor nodes and technologies. It also offers metrology and inspection systems, including YieldStar optical metrology solutions to measure the quality of patterns on the wafers; and HMI e-beam solutions to locate and analyze individual chip defects. In addition, the company provides computational lithography and software solutions to create applications that enhance the setup of the lithography system; and mature products and services that refurbish used lithography equipment and offers associated services. It operates in Japan, South Korea, Singapore, Taiwan, China, the Netherlands, Europe, the United States, and rest of Asia. The company was formerly known as ASM Lithography Holding N.V. and changed its name to ASML Holding N.V. in 2001. ASML Holding N.V. was founded in 1984 and is headquartered in Veldhoven, the Netherlands.